首页 > ip授权 > 半导体上游ip模块是什么-先进制造工艺发展有限,Chiplet是中半导体实现弯道超车的重要引擎吗?
2023
07-04

半导体上游ip模块是什么-先进制造工艺发展有限,Chiplet是中半导体实现弯道超车的重要引擎吗?

JW Insights 认为:

– UCIe 1.0的发布使Chiplet技术向前迈进了一大步,中国半导体企业也在加速布局;

– 高性能计算的海量需求将推动Chiplet市场激增,高性能服务器/数据中心、自动驾驶、笔记本/台式电脑、高端智能手机将引领市场增长;

– 中国半导体产业已经实现了很多Chiplet应用案例,但在Chiplet系统设计能力和经验、制造和封装产业基础、EDA/IP工具、行业标准等方面仍面临巨大挑战。

3月2日,英特尔、AMD、Arm、高通、微软、谷歌、Meta、台积电、日月光、三星等十家行业巨头正式成立Universal Chiplet Interconnect Express(UCIe)产业联盟,共同推动Chiplet接口规范标准化。 一个月后,芯原、超模科技、芯动科技、芯硅科技等中国大陆半导体企业宣布加入该联盟。 芯动科技很快发布了国内首个物理层兼容UCIe标准的chiplet解决方案,并已成功量产并经过先进技术验证。

JW Insights认为半导体上游ip模块是什么,虽然国内半导体行业在先进制造工艺的发展上受到限制,但Chiplet可视为实现性能升级的另一条路径,也是行业的突破之一,但目前仍处于技术基础的过程中,积累和发展。 产业生态等方面都面临诸多挑战。

Chiplet异军突起,中国半导体厂商落户

随着先进制造工艺下单芯片成本的急剧上升,Chiplet越来越被视为延续摩尔定律的重要途径。 通过对复杂芯片的不同功能进行划分,采用不同的制造工艺生产单独的裸片半导体上游ip模块是什么,然后采用先进的封装互连技术进行集成,可以突破传统SoC制造面临的诸多挑战(掩模尺寸限制和功能限制等),从而提高综合性能,大大缩短生产周期,降低设计和生产成本。

不同类型的异构集成先进封装将创造半导体制造新的商业模式已成为共识。 在日益火热的chiplet领域,虽然仍处于发展初期,但已呈现异军突起的态势。 据Gartner预测,基于Chiplet的半导体器件销售收入将从2020年的33亿美元增长到2024年的505亿美元,年复合增长率高达98%。 超过 30% 的 SiP 封装将使用 Chiplet 来优化成本和性能。 和上市时间。 届时,60%的Chiplet市场机会将是智能手机和服务器应用,基于Chiplet的PC和服务器终端计算MPU销售收入将超过220亿美元。

在数字经济趋势下,各类超大规模算力芯片有望率先采用基于Chiplet的设计实现思路和工程实践方法。 JW Insights认为,高性能服务器/数据中心、自动驾驶、笔记本/台式电脑、高端智能手机将成为未来几年Chiplet的主要应用场景,引领市场增长。

AMD、Intel、AWS等行业领导者已在其数据中心CPU上采用Chiplet技术,实现量产。 苹果最新的M1 Ultra设计和Nvidia最近发布的Grace CPU超级芯片也采用了Chiplet概念。 例如,虽然苹果和Nvidia不在UCIe联盟中,但Nvidia的NVLink-C2C仍然具有与UCIe相同的效果,支持定制裸芯片与GPU、CPU、DPU、NIC和SoC的互连。

反观中国,虽然起步较晚,但不少厂商已经落户。 据JW Insights不完全统计,目前国内公开实施Chiplet实践的案例包括:

华为海思是国内最早尝试Chiplet的厂商之一。 根据台积电公开信息,海思2014年合作的64位Arm架构服务器处理器Hi16xx(据调查,应该是海思第三代服务器处理器16nm鲲鹏916,官方型号Hi1616,2015年正式发布,并于2019年初发布。随着920系列的推出,华为将该系列更名为鲲鹏),采用台积电异构CoWoS 3D IC封装工艺,将16nm逻辑芯片与28nm I/O芯片集成,实现高性价比的系统解决方案。 可以算是早期的chiplet实践。 另据华为发表的论文称,海思第四代服务器处理器鲲鹏920(7nm工艺,正式型号Hi1620)将于2019年量产,采用Chiplet技术,集成7nm逻辑芯片和16nm I/O芯片。 等等都集成在SoC中。

近日,国家知识产权局官网曝光了一张华为海思芯片堆叠技术的发明专利图。 采用3D MCM封装的芯片基于Chiplet技术进行堆叠,解决性能、面积和成本问题。 这与华为轮值董事长郭平今年3月年报发布会上透露的“未来可能会采用多核结构芯片设计方案来提升芯片性能”、“用面积换性能,并使用堆叠来提高性能”。 策略高度一致。 尽管华为在先进制造工艺的道路上遇到了障碍,但Chiplet仍将是其逆境中的突破口之一。

寒武纪于2021年11月发布了第三代云AI芯片思元370,它基于7nm工艺,是其首款基于Chiplet技术的AI芯片。 两颗人工智能计算芯片(MLU)封装在一颗芯片中。 -Die),每个MLU-Die都有独立的AI计算单元、内存、IO以及MLU-Fabric控制和接口,通过MLU-Fabric保证两个MLU-Die之间的高速通信,可以通过不同的MLU-Die进行组合芯片规格多样化产品,实现不同算力、内存、编解码器的组合。

芯动科技还于2021年11月发布了首款国产高性能4K显卡GPU芯片“风华一号”,首次成功实现了中国自主标准的Innolink Chiplet多晶粒技术。 通过Innolink Chiplet的扩展,两块GPU级联,性能提升一倍。 值得一提的是,该公司在chiplet领域耕耘多年,推出了Innolink A/B/C三种chiplet互连技术,并已应用于产品中,支持异构高性能CPU/GPU/NPU芯片完成。

此外,2020年9月,芯动科技还作为发起方,联合中科院姚期智院士发起成立中国Chiplet产业联盟,加强国内Chiplet技术标准的应用和推广以及与国际标准的兼容。

半导体IP供应商芯原近年来一直在推动Chiplet技术的落地。 去年,该公司采用Chiplet架构设计,推出了高端应用处理器平台。 从定义到流片仅用了 12 个月,工程样品正在返回。 当天成功点亮,样机上成功运行Linux/Chrome操作系统、YouTube、Android游戏等应用。 目前,该产品12nm SoC版本正在自动驾驶域控制器上验证,Chiplet版本正在迭代。

中国大陆领先的封测公司长电科技也在积极布局Chiplet技术。 该公司去年推出的XDFIOTM是一种以2.5D TSV-less为基础技术平台的封装技术。 线宽/线距可达2μm/2μm的同时,还可以实现多层布线层,以及2D/2.5D和3D各种异构封装,能够提供chiplet和异构封装系统封装解决方案。

除了上述厂商之外,近期宣布加入UCIe联盟的超墨科技、芯耀汇等企业,以及众多正在默默使用Chiplet的高性能CPU、GPU和大规模AI芯片初创企业技术攻关等,集微咨询(JW Insights)认为,国内芯片设计和应用产业链应参与全球Chiplet生态系统,共同合作,为相关行业技术规范和标准的完善做出贡献,或者补充不同的功能模块,实现不同芯片之间的互连。 解决信号衰减、散热、应力等诸多挑战,促进我国产业发展的技术储备和应用,为Chiplet芯片的国产化和产业化应用奠定坚实的基础。

中国半导体制造商争夺 Chiplet 面临的挑战

国内半导体产业链积极参与chiplet生态,不仅可以完善原有主营业务,还可以通过提升技术实力,提供更多增殖服务。 然而,Chiplet 受到不同制造商生产的芯片(裸芯片)之间不同架构以及不同互连接口和协议的限制。 设计者必须考虑工艺、封装技术、系统集成和扩展等许多复杂因素。 同时,还需要满足不同领域和场景对信号传输速度和功耗的要求卡通形象,这使得chiplet设计过程变得异常困难。 对于缺乏设计和实践经验的国内玩家来说,他们仍然面临着非常艰巨的挑战。 据JW Insights称,他们面临的挑战包括但不限于:

1、首先我们要认识到Chiplet是一种可以提升芯片性能的架构创新,而不仅仅是作为一种封装技术来推广。 Chiplet可以通过各种先进的封装方式来实现,但是chiplet架构的使用意味着设计阶段的创新,而chiplet带来的更大的优化空间也意味着架构优化方面更大的挑战。 这意味着Chiplet技术实践也将是一个产品规划挑战,但国内拥有如此大规模SoC架构定义、设计能力和经验的公司和人才屈指可数。

2、虽然有一些Chiplet实践案例,但国内Chiplet技术和经验积累仍然匮乏。 从目前Chiplet实践的主要推动者来看,仍以领先的芯片设计公司为主,辅以代工厂的大力支持。 这是因为Chiplet在更先进的工艺上更有效,而国内先进工艺在芯片设计和制造领域的差距仍然非常显着,Chiplet技术的应用相对更加困难。

UCIe 目前涵盖的套餐(图片来源:UCIe 白皮书)

3、先进封装是Chiplet实现过程的重要组成部分,甚至是Chiplet实现的基础和前提。 它可以看作是另一种意义上的SiP,与晶圆的前后工艺高度集成,但采用Chiplet技术意味着需要跨多个不同工艺的芯片和供应商,并且每个die都有不同的架构物理/逻辑接口必须考虑如何布局、互连和提高信号传输质量。 台积电、英特尔等都制定了自己的封装技术路线。 对此,国内代工厂和封装厂需要加大研发和投资布局。

4.足以匹配可用的EDA和IP工具。 在chiplet架构下,不同芯片供应商、不同代工厂生产的芯片之间的互操作性以及IP安全问题也阻碍了chiplet的大规模应用。 由于国内普遍缺乏Chiplet实践经验,需要有明确的设计流程和配套的设计辅助工具,这依赖于EDA厂商提供跨平台工具来有效集成不同来源的芯片。 此外,Chiplet生态系统还意味着不同公司必须相互共享芯片IP。 显然,中国仍然严重依赖国外EDA巨头和半导体IP供应商提供的“保姆式”一站式解决方案。

5. 互连接口和协议对于Chiplet来说非常关键。 它们的设计必须考虑适应工艺和封装技术、系统集成和扩展的要求。 还需要满足不同类型Chiplet集成对单位面积传输带宽和每比特功耗的要求。 解决这些问题的最大困难是没有统一的标准协议。 UCIe联盟的成立是Chiplet走向统一标准的开始卡通形象,但主要话语权仍然掌握在国外巨头手中。 《芯片接口总线技术要求》,草案已完成并公示,预计今年四季度正式发布。 无论是国内企业纷纷加入全球UCIe联盟,还是制定中国自己的chiplet标准,更广泛、开放的产业生态系统都是chiplet成长的沃土。

结语

Chiplet技术的实践需要与芯片、终端、代工厂、封装厂、基板等封装材料、设备制造商等全产业链紧密配合。 生态建设之路极其漫长。 JW Insights认为,中国半导体产业在该领域的技术能力、储备、产业生态和国际产业资源等方面与行业主流存在巨大差距,比追赶先进制造工艺更不容易。

因此,在机遇、限制和挑战都极其凸显的情况下,不宜过分乐观地认为Chiplet是中国半导体产业自主化目标的“救命良方”。 只有建立适合本国的商业模式和技术路径,才有希望建立一个健康发展的Chiplet生态系统,缩小与后摩尔时代领先者的差距。

(校对/萨米)

最后编辑:
作者:nuanquewen
吉祥物设计/卡通ip设计/卡通人物设计/卡通形象设计/表情包设计